KIM-1 Cassette Tapes: Difference between revisions

From Makerspace Nanaimo
Jump to navigation Jump to search
No edit summary
mNo edit summary
Line 54: Line 54:
* [http://users.telenet.be/kim1-6502/6502/usrman.html MOS KIM-1 User Manual]
* [http://users.telenet.be/kim1-6502/6502/usrman.html MOS KIM-1 User Manual]


== Cassette: ONES, FF, DAN OLIVER MAKES MUSIC WITH KIM COMPUTER ==
== Cassette: TONES, FF, DAN OLIVER MAKES MUSIC WITH KIM COMPUTER ==


Source: [https://www.dropbox.com/s/wkfk0wavcd1eded/TONES%2C%20FF%2C%20DAN%20OLIVER%20MAKES%20MUSIC%20WITH%20KIM%20COMPUTER%20-%20side%201%20-%2022KHz.wav?dl=0 TONES, FF, DAN OLIVER MAKES MUSIC WITH KIM COMPUTER - side 1 - 22KHz.wav] (9:30, rest of tape is blank)
Source: [https://www.dropbox.com/s/wkfk0wavcd1eded/TONES%2C%20FF%2C%20DAN%20OLIVER%20MAKES%20MUSIC%20WITH%20KIM%20COMPUTER%20-%20side%201%20-%2022KHz.wav?dl=0 TONES, FF, DAN OLIVER MAKES MUSIC WITH KIM COMPUTER - side 1 - 22KHz.wav] (9:30, rest of tape is blank)

Revision as of 21:59, 8 March 2019

A collection at Makerspace Nanaimo of data cassette tapes for late-1970's KIM-1 microcomputers.

KIM-1

The KIM-1 microcomputer was designed by MOS for their 6502 CPU, it cost $245 when released in 1976.

Micro-KIM

Micro-KIM is a nearly-100%-compatible KIM-1 clone, made in 2007. (no longer sold)

6502 utilities and information

Cassette Data Storage

Data is stored as a series of 2415 and 3623 Hz tones. The duration of one bit takes 7.452 milliseconds.

From Wikipedia:

Each bit is represented by three 2.484 ms long tones. The first is always 3700 Hz, the middle is 3700 Hz for "0" or 2400 Hz for "1", and the last one is always 2400 Hz. This gives an effective bit rate of 134.2 bit/s. Detection is done through a PLL using LM565.

The format of data on the tape is: 100 bytes with the value 0x16 (SYN, Synchronous Idle), one byte with the value 0x2A (*), the record identification number, the start address (two characters for the low byte of address, two characters for the high byte), the end address (in the same format), the actual data, one byte with the value 0x2F ("/" character ), a two-byte checksum, and two bytes with the value 0x04 (EOT, End Of Transmission).

Each byte of memory is stored as two sequential ASCII characters on tape, for example, hexadecimal B5 in memory (181 decimal) would be stored as two sequential ASCII characters "B" and "5" (42 and 35 hexadecimal).

Information sources:

Cassette: TONES, FF, DAN OLIVER MAKES MUSIC WITH KIM COMPUTER

Source: TONES, FF, DAN OLIVER MAKES MUSIC WITH KIM COMPUTER - side 1 - 22KHz.wav (9:30, rest of tape is blank)

60 minute cassette tape (30 minutes per side).

Side 1 of the cassette has about 9:30 of audio (tones, voice, data, and music).

Side 2 of the cassette is blank.


YouTube - TONES, FF, DAN OLIVER MAKES MUSIC WITH KIM COMPUTER.

Program: TONES A0 - Sound effect

This program starts around 1:36 into cassette tape - it's the first program stored on the tape.

Audio on the tape preceeding this program:

This is a sound effect subroutine at address 0200 to address 022C.
It can be varied to go up or down in frequency in any number of repeats.
Start with a jump to subroutine at address 0200.
ID is A0.

Cassette tape data: TONES A0 - Sound effect

Timestamp Binary  Hex ASCII Description
1:35.863 01101000 0x16     SYN
1:35.927 01101000 0x16     SYN
1:35.990 01101000 0x16     SYN
1:36.053 01101000 0x16     SYN
1:36.116 01101000 0x16     SYN
1:36.179 01101000 0x16     SYN
1:36.243 01101000 0x16     SYN
1:36.306 01101000 0x16     SYN
1:36.369 01101000 0x16     SYN
1:36.432 01101000 0x16     SYN
1:36.495 01101000 0x16     SYN
1:36.559 01101000 0x16     SYN
1:36.622 01101000 0x16     SYN
1:36.685 01101000 0x16     SYN
1:36.749 01101000 0x16     SYN
1:36.812 01101000 0x16     SYN
1:36.875 01101000 0x16     SYN
1:36.938 01101000 0x16     SYN
1:37.001 01101000 0x16     SYN
1:37.064 01101000 0x16     SYN
1:37.127 01101000 0x16     SYN
1:37.191 01101000 0x16     SYN
1:37.254 01101000 0x16     SYN
1:37.317 01101000 0x16     SYN
1:37.380 01101000 0x16     SYN
1:37.443 01101000 0x16     SYN
1:37.506 01101000 0x16     SYN
1:37.570 01101000 0x16     SYN
1:37.633 01101000 0x16     SYN
1:37.696 01101000 0x16     SYN
1:37.760 01101000 0x16     SYN
1:37.822 01101000 0x16     SYN
1:37.885 01101000 0x16     SYN
1:37.949 01101000 0x16     SYN
1:38.012 01101000 0x16     SYN
1:38.075 01101000 0x16     SYN
1:38.138 01101000 0x16     SYN
1:38.202 01101000 0x16     SYN
1:38.265 01101000 0x16     SYN
1:38.328 01101000 0x16     SYN
1:38.392 01101000 0x16     SYN
1:38.455 01101000 0x16     SYN
1:38.517 01101000 0x16     SYN
1:38.581 01101000 0x16     SYN
1:38.644 01101000 0x16     SYN
1:38.707 01101000 0x16     SYN
1:38.770 01101000 0x16     SYN
1:38.833 01101000 0x16     SYN
1:38.897 01101000 0x16     SYN
1:38.960 01101000 0x16     SYN
1:39.023 01101000 0x16     SYN
1:39.086 01101000 0x16     SYN
1:39.149 01101000 0x16     SYN
1:39.212 01101000 0x16     SYN
1:39.276 01101000 0x16     SYN
1:39.339 01101000 0x16     SYN
1:39.402 01101000 0x16     SYN
1:39.465 01101000 0x16     SYN
1:39.528 01101000 0x16     SYN
1:39.591 01101000 0x16     SYN
1:39.655 01101000 0x16     SYN
1:39.718 01101000 0x16     SYN
1:39.781 01101000 0x16     SYN
1:39.844 01101000 0x16     SYN
1:39.907 01101000 0x16     SYN
1:39.970 01101000 0x16     SYN
1:40.034 01101000 0x16     SYN
1:40.097 01101000 0x16     SYN
1:40.160 01101000 0x16     SYN
1:40.223 01101000 0x16     SYN
1:40.286 01101000 0x16     SYN
1:40.349 01101000 0x16     SYN
1:40.413 01101000 0x16     SYN
1:40.476 01101000 0x16     SYN
1:40.539 01101000 0x16     SYN
1:40.602 01101000 0x16     SYN
1:40.665 01101000 0x16     SYN
1:40.729 01101000 0x16     SYN
1:40.791 01101000 0x16     SYN
1:40.854 01101000 0x16     SYN
1:40.918 01101000 0x16     SYN
1:40.981 01101000 0x16     SYN
1:41.044 01101000 0x16     SYN
1:41.107 01101000 0x16     SYN
1:41.170 01101000 0x16     SYN
1:41.234 01101000 0x16     SYN
1:41.297 01101000 0x16     SYN
1:41.360 01101000 0x16     SYN
1:41.423 01101000 0x16     SYN
1:41.486 01101000 0x16     SYN
1:41.549 01101000 0x16     SYN
1:41.613 01101000 0x16     SYN
1:41.676 01101000 0x16     SYN
1:41.739 01101000 0x16     SYN
1:41.802 01101000 0x16     SYN
1:41.866 01101000 0x16     SYN
1:41.929 01101000 0x16     SYN
1:41.992 01101000 0x16     SYN
1:42.055 01101000 0x16     SYN
1:42.118 01101000 0x16     SYN
1:42.181 01010100 0x2A '*' SOF
1:42.244 10000010 0x41 'A' ID1
1:42.307 00001100 0x30 '0' ID2
1:42.370 00001100 0x30 '0' START_ADDR_L1
1:42.434 00001100 0x30 '0' START_ADDR_L2
1:42.496 00001100 0x30 '0' START_ADDR_H1
1:42.560 01001100 0x32 '2' START_ADDR_H2
1:42.623 10000010 0x41 'A' END_ADDR_L1
1:42.686 00001100 0x30 '0' END_ADDR_L2
1:42.749 00001100 0x30 '0' END_ADDR_H1
1:42.812 00101100 0x34 '4' END_ADDR_H2
1:42.876 10000010 0x41 'A' DATA
1:42.939 10011100 0x39 '9' DATA
1:43.002 00001100 0x30 '0' DATA
1:43.065 00001100 0x30 '0' DATA
1:43.128 00011100 0x38 '8' DATA
1:43.191 10101100 0x35 '5' DATA
1:43.255 10100010 0x45 'E' DATA
1:43.318 10100010 0x45 'E' DATA
1:43.381 10000010 0x41 'A' DATA
1:43.444 10011100 0x39 '9' DATA
1:43.507 00001100 0x30 '0' DATA
1:43.570 10001100 0x31 '1' DATA
1:43.633 00011100 0x38 '8' DATA
1:43.697 00100010 0x44 'D' DATA
1:43.759 00001100 0x30 '0' DATA
1:43.823 01101100 0x36 '6' DATA
1:43.886 10001100 0x31 '1' DATA
1:43.949 11101100 0x37 '7' DATA
1:44.012 10000010 0x41 'A' DATA
1:44.075 10011100 0x39 '9' DATA
1:44.138 00001100 0x30 '0' DATA
1:44.201 10001100 0x31 '1' DATA
1:44.264 00011100 0x38 '8' DATA
1:44.328 00100010 0x44 'D' DATA
1:44.391 00001100 0x30 '0' DATA
1:44.454 10001100 0x31 '1' DATA
1:44.517 10001100 0x31 '1' DATA
1:44.580 11101100 0x37 '7' DATA
1:44.643 10100010 0x45 'E' DATA
1:44.706 10100010 0x45 'E' DATA
1:44.769 00001100 0x30 '0' DATA
1:44.832 00001100 0x30 '0' DATA
1:44.896 10001100 0x31 '1' DATA
1:44.958 11101100 0x37 '7' DATA
1:45.022 10000010 0x41 'A' DATA
1:45.084 01101100 0x36 '6' DATA
1:45.148 10100010 0x45 'E' DATA
1:45.210 10100010 0x45 'E' DATA
1:45.274 11000010 0x43 'C' DATA
1:45.337 10000010 0x41 'A' DATA
1:45.400 00100010 0x44 'D' DATA
1:45.463 00001100 0x30 '0' DATA
1:45.526 01100010 0x46 'F' DATA
1:45.589 00100010 0x44 'D' DATA
1:45.652 01001100 0x32 '2' DATA
1:45.715 11000010 0x43 'C' DATA
1:45.778 00001100 0x30 '0' DATA
1:45.841 11101100 0x37 '7' DATA
1:45.904 10001100 0x31 '1' DATA
1:45.967 11101100 0x37 '7' DATA
1:46.030 10001100 0x31 '1' DATA
1:46.093 00001100 0x30 '0' DATA
1:46.156 01100010 0x46 'F' DATA
1:46.220 11001100 0x33 '3' DATA
1:46.283 10100010 0x45 'E' DATA
1:46.346 01101100 0x36 '6' DATA
1:46.409 10100010 0x45 'E' DATA
1:46.472 10100010 0x45 'E' DATA
1:46.535 10000010 0x41 'A' DATA
1:46.598 10101100 0x35 '5' DATA
1:46.661 10100010 0x45 'E' DATA
1:46.724 10100010 0x45 'E' DATA
1:46.787 11000010 0x43 'C' DATA
1:46.850 10011100 0x39 '9' DATA
1:46.913 01100010 0x46 'F' DATA
1:46.976 01100010 0x46 'F' DATA
1:47.039 01100010 0x46 'F' DATA
1:47.102 00001100 0x30 '0' DATA
1:47.166 00001100 0x30 '0' DATA
1:47.228 01001100 0x32 '2' DATA
1:47.292 00100010 0x44 'D' DATA
1:47.354 00001100 0x30 '0' DATA
1:47.418 00100010 0x44 'D' DATA
1:47.481 01100010 0x46 'F' DATA
1:47.544 00011100 0x38 '8' DATA
1:47.607 00011100 0x38 '8' DATA
1:47.670 01100010 0x46 'F' DATA
1:47.733 00001100 0x30 '0' DATA
1:47.796 00001100 0x30 '0' DATA
1:47.859 01001100 0x32 '2' DATA
1:47.922 00100010 0x44 'D' DATA
1:47.985 00001100 0x30 '0' DATA
1:48.048 00100010 0x44 'D' DATA
1:48.111 10000010 0x41 'A' DATA
1:48.175 00101100 0x34 '4' DATA
1:48.238 11000010 0x43 'C' DATA
1:48.301 11110100 0x2F '/' EOF
1:48.364 01001100 0x32 '2' CSUML1
1:48.427 01001100 0x32 '2' CSUML2
1:48.490 10001100 0x31 '1' CSUMH2
1:48.553 11101100 0x37 '7' CSUMH2
1:48.616 00100000 0x04  ?  EOT1
1:48.684 00100001 0x84  ?  EOT2

Disassembly: TONES A0 - Sound effect

Disassembled using https://www.masswerk.at/6502/disassembler.html.

                  * = 0200
0200   A9 00      LDA #$00
0202   85 EE      STA $EE
0204   A9 01      LDA #$01
0206   8D 06 17   STA $1706
0209   A9 01      LDA #$01
020B   8D 01 17   STA $1701
020E   EE 00 17   INC $1700
0211   A6 EE      LDX $EE
0213   CA         DEX
0214   D0 FD      BNE $0213
0216   2C 07 17   BIT $1707
0219   10 F3      BPL $020E
021B   E6 EE      INC $EE
021D   A5 EE      LDA $EE
021F   C9 FF      CMP #$FF
0221   F0 02      BEQ $0225
0223   D0 DF      BNE $0204
0225   88         DEY
0226   F0 02      BEQ $022A
0228   D0 DA      BNE $0204
022A   4C 00 00   JMP $0000
022D              .END

Program: TONES A1 - Siren sound effect

This program starts around 1:59 into cassette tape - it's the second program stored on the tape.

Audio on the tape preceeding this program:

This is a siren sound effect.
Address 0200 - 025A and ID is A1.

Cassette tape data: TONES A1 - Siren sound effect

Timestamp Binary  Hex ASCII Description
1:59.771 01101000 0x16     SYN
1:59.835 01101000 0x16     SYN
1:59.897 01101000 0x16     SYN
1:59.961 01101000 0x16     SYN
2:00.024 01101000 0x16     SYN
2:00.087 01101000 0x16     SYN
2:00.150 01101000 0x16     SYN
2:00.213 01101000 0x16     SYN
2:00.276 01101000 0x16     SYN
2:00.339 01101000 0x16     SYN
2:00.402 01101000 0x16     SYN
2:00.465 01101000 0x16     SYN
2:00.528 01101000 0x16     SYN
2:00.591 01101000 0x16     SYN
2:00.654 01101000 0x16     SYN
2:00.717 01101000 0x16     SYN
2:00.780 01101000 0x16     SYN
2:00.843 01101000 0x16     SYN
2:00.906 01101000 0x16     SYN
2:00.970 01101000 0x16     SYN
2:01.032 01101000 0x16     SYN
2:01.095 01101000 0x16     SYN
2:01.158 01101000 0x16     SYN
2:01.222 01101000 0x16     SYN
2:01.285 01101000 0x16     SYN
2:01.348 01101000 0x16     SYN
2:01.411 01101000 0x16     SYN
2:01.474 01101000 0x16     SYN
2:01.537 01101000 0x16     SYN
2:01.600 01101000 0x16     SYN
2:01.663 01101000 0x16     SYN
2:01.726 01101000 0x16     SYN
2:01.789 01101000 0x16     SYN
2:01.852 01101000 0x16     SYN
2:01.915 01101000 0x16     SYN
2:01.978 01101000 0x16     SYN
2:02.041 01101000 0x16     SYN
2:02.104 01101000 0x16     SYN
2:02.168 01101000 0x16     SYN
2:02.230 01101000 0x16     SYN
2:02.293 01101000 0x16     SYN
2:02.356 01101000 0x16     SYN
2:02.420 01101000 0x16     SYN
2:02.482 01101000 0x16     SYN
2:02.545 01101000 0x16     SYN
2:02.608 01001000
2:02.671 01101000 0x16     SYN
2:02.734 01101000 0x16     SYN
2:02.797 01101000 0x16     SYN
2:02.860 01101000 0x16     SYN
2:02.923 01101000 0x16     SYN
2:02.986 01101000 0x16     SYN
2:03.050 01101000 0x16     SYN
2:03.113 01101000 0x16     SYN
2:03.176 01101000 0x16     SYN
2:03.238 01101000 0x16     SYN
2:03.301 01101000 0x16     SYN
2:03.364 01101000 0x16     SYN
2:03.428 01101000 0x16     SYN
2:03.490 01101000 0x16     SYN
2:03.554 01101000 0x16     SYN
2:03.617 01101000 0x16     SYN
2:03.679 01101000 0x16     SYN
2:03.742 01101000 0x16     SYN
2:03.806 01101000 0x16     SYN
2:03.869 01101000 0x16     SYN
2:03.932 01101000 0x16     SYN
2:03.995 01101000 0x16     SYN
2:04.058 01101000 0x16     SYN
2:04.121 01101000 0x16     SYN
2:04.184 01101000 0x16     SYN
2:04.247 01101000 0x16     SYN
2:04.310 01101000 0x16     SYN
2:04.373 01101000 0x16     SYN
2:04.436 01101000 0x16     SYN
2:04.499 01101000 0x16     SYN
2:04.562 01101000 0x16     SYN
2:04.625 01101000 0x16     SYN
2:04.688 01101000 0x16     SYN
2:04.751 01101000 0x16     SYN
2:04.814 01101000 0x16     SYN
2:04.877 01101000 0x16     SYN
2:04.940 01101000 0x16     SYN
2:05.003 01101000 0x16     SYN
2:05.066 01101000 0x16     SYN
2:05.129 01101000 0x16     SYN
2:05.192 01101000 0x16     SYN
2:05.255 01101000 0x16     SYN
2:05.318 01101000 0x16     SYN
2:05.381 01101000 0x16     SYN
2:05.443 01101000 0x16     SYN
2:05.506 01101000 0x16     SYN
2:05.569 01101000 0x16     SYN
2:05.632 01101000 0x16     SYN
2:05.695 01101000 0x16     SYN
2:05.759 01101000 0x16     SYN
2:05.821 01101000 0x16     SYN
2:05.885 01101000 0x16     SYN
2:05.948 01101000 0x16     SYN
2:06.010 01101000 0x16     SYN
2:06.073 01010100 0x2A '*' SOF
2:06.136 10000010 0x41 'A' ID1
2:06.199 10001100 0x31 '1' ID2
2:06.262 00001100 0x30 '0' START_ADDR_L1
2:06.325 00001100 0x30 '0' START_ADDR_L2
2:06.388 00001100 0x30 '0' START_ADDR_H1
2:06.451 01001100 0x32 '2' START_ADDR_H2
2:06.514 10000010 0x41 'A' END_ADDR_L1
2:06.577 00001100 0x30 '0' END_ADDR_L2
2:06.640 00001100 0x30 '0' END_ADDR_H1
2:06.703 10001100 0x31 '1' END_ADDR_H2
2:06.766 10000010 0x41 'A' DATA
2:06.829 10011100 0x39 '9' DATA
2:06.892 01100010 0x46 'F' DATA
2:06.955 01100010 0x46 'F' DATA
2:07.018 00011100 0x38 '8' DATA
2:07.081 10101100 0x35 '5' DATA
2:07.144 10100010 0x45 'E' DATA
2:07.207 10100010 0x45 'E' DATA
2:07.270 10000010 0x41 'A' DATA
2:07.333 10011100 0x39 '9' DATA
2:07.396 00001100 0x30 '0' DATA
2:07.459 11000010 0x43 'C' DATA
2:07.522 00011100 0x38 '8' DATA
2:07.585 00100010 0x44 'D' DATA
2:07.648 00001100 0x30 '0' DATA
2:07.711 10101100 0x35 '5' DATA
2:07.774 10001100 0x31 '1' DATA
2:07.837 11101100 0x37 '7' DATA
2:07.900 10000010 0x41 'A' DATA
2:07.963 10011100 0x39 '9' DATA
2:08.026 00001100 0x30 '0' DATA
2:08.089 10001100 0x31 '1' DATA
2:08.152 00011100 0x38 '8' DATA
2:08.215 00100010 0x44 'D' DATA
2:08.278 00001100 0x30 '0' DATA
2:08.341 10001100 0x31 '1' DATA
2:08.404 10001100 0x31 '1' DATA
2:08.467 11101100 0x37 '7' DATA
2:08.530 10100010 0x45 'E' DATA
2:08.593 10100010 0x45 'E' DATA
2:08.656 00001100 0x30 '0' DATA
2:08.719 00001100 0x30 '0' DATA
2:08.782 10001100 0x31 '1' DATA
2:08.845 11101100 0x37 '7' DATA
2:08.908 10000010 0x41 'A' DATA
2:08.972 01101100 0x36 '6' DATA
2:09.035 10100010 0x45 'E' DATA
2:09.097 10100010 0x45 'E' DATA
2:09.161 11000010 0x43 'C' DATA
2:09.223 10000010 0x41 'A' DATA
2:09.287 00100010 0x44 'D' DATA
2:09.350 00001100 0x30 '0' DATA
2:09.413 01100010 0x46 'F' DATA
2:09.476 00100010 0x44 'D' DATA
2:09.539 01001100 0x32 '2' DATA
2:09.602 11000010 0x43 'C' DATA
2:09.664 00001100 0x30 '0' DATA
2:09.728 11101100 0x37 '7' DATA
2:09.791 10001100 0x31 '1' DATA
2:09.853 11101100 0x37 '7' DATA
2:09.917 10001100 0x31 '1' DATA
2:09.979 00001100 0x30 '0' DATA
2:10.042 01100010 0x46 'F' DATA
2:10.106 11001100 0x33 '3' DATA
2:10.168 11000010 0x43 'C' DATA
2:10.232 01101100 0x36 '6' DATA
2:10.295 10100010 0x45 'E' DATA
2:10.358 10100010 0x45 'E' DATA
2:10.421 10000010 0x41 'A' DATA
2:10.484 10101100 0x35 '5' DATA
2:10.547 10100010 0x45 'E' DATA
2:10.610 10100010 0x45 'E' DATA
2:10.673 11000010 0x43 'C' DATA
2:10.736 10011100 0x39 '9' DATA
2:10.799 00001100 0x30 '0' DATA
2:10.862 00001100 0x30 '0' DATA
2:10.925 01100010 0x46 'F' DATA
2:10.988 00001100 0x30 '0' DATA
2:11.051 00001100 0x30 '0' DATA
2:11.114 01001100 0x32 '2' DATA
2:11.177 00100010 0x44 'D' DATA
2:11.240 00001100 0x30 '0' DATA
2:11.303 00100010 0x44 'D' DATA
2:11.366 01100010 0x46 'F' DATA
2:11.429 00011100 0x38 '8' DATA
2:11.492 00011100 0x38 '8' DATA
2:11.554 01100010 0x46 'F' DATA
2:11.617 00001100 0x30 '0' DATA
2:11.681 00001100 0x30 '0' DATA
2:11.743 01001100 0x32 '2' DATA
2:11.806 00100010 0x44 'D' DATA
2:11.869 00001100 0x30 '0' DATA
2:11.933 00100010 0x44 'D' DATA
2:11.996 10000010 0x41 'A' DATA
2:12.059 10000010 0x41 'A' DATA
2:12.121 00001100 0x30 '0' DATA
2:12.184 00001100 0x30 '0' DATA
2:12.247 10001100 0x31 '1' DATA
2:12.311 10000010 0x41 'A' DATA
2:12.374 10011100 0x39 '9' DATA
2:12.436 00001100 0x30 '0' DATA
2:12.500 00001100 0x30 '0' DATA
2:12.563 00011100 0x38 '8' DATA
2:12.626 10101100 0x35 '5' DATA
2:12.689 10100010 0x45 'E' DATA
2:12.752 10100010 0x45 'E' DATA
2:12.815 10000010 0x41 'A' DATA
2:12.878 10011100 0x39 '9' DATA
2:12.940 00001100 0x30 '0' DATA
2:13.004 11000010 0x43 'C' DATA
2:13.067 00011100 0x38 '8' DATA
2:13.130 00100010 0x44 'D' DATA
2:13.193 00001100 0x30 '0' DATA
2:13.256 10101100 0x35 '5' DATA
2:13.319 10001100 0x31 '1' DATA
2:13.381 11101100 0x37 '7' DATA
2:13.445 10000010 0x41 'A' DATA
2:13.508 10011100 0x39 '9' DATA
2:13.570 00001100 0x30 '0' DATA
2:13.634 10001100 0x31 '1' DATA
2:13.697 00011100 0x38 '8' DATA
2:13.760 00100010 0x44 'D' DATA
2:13.823 00001100 0x30 '0' DATA
2:13.886 10001100 0x31 '1' DATA
2:13.949 10001100 0x31 '1' DATA
2:14.012 11101100 0x37 '7' DATA
2:14.075 10100010 0x45 'E' DATA
2:14.138 10100010 0x45 'E' DATA
2:14.201 00001100 0x30 '0' DATA
2:14.264 00001100 0x30 '0' DATA
2:14.327 10001100 0x31 '1' DATA
2:14.390 11101100 0x37 '7' DATA
2:14.453 10000010 0x41 'A' DATA
2:14.517 01101100 0x36 '6' DATA
2:14.579 10100010 0x45 'E' DATA
2:14.642 10100010 0x45 'E' DATA
2:14.705 11000010 0x43 'C' DATA
2:14.768 10000010 0x41 'A' DATA
2:14.831 00100010 0x44 'D' DATA
2:14.894 00001100 0x30 '0' DATA
2:14.957 01100010 0x46 'F' DATA
2:15.020 00100010 0x44 'D' DATA
2:15.083 01001100 0x32 '2' DATA
2:15.146 11000010 0x43 'C' DATA
2:15.209 00001100 0x30 '0' DATA
2:15.272 11101100 0x37 '7' DATA
2:15.335 10001100 0x31 '1' DATA
2:15.398 11101100 0x37 '7' DATA
2:15.461 10001100 0x31 '1' DATA
2:15.524 00001100 0x30 '0' DATA
2:15.588 01100010 0x46 'F' DATA
2:15.650 11001100 0x33 '3' DATA
2:15.714 10100010 0x45 'E' DATA
2:15.777 01101100 0x36 '6' DATA
2:15.839 10100010 0x45 'E' DATA
2:15.902 10100010 0x45 'E' DATA
2:15.965 10000010 0x41 'A' DATA
2:16.028 10101100 0x35 '5' DATA
2:16.091 10100010 0x45 'E' DATA
2:16.154 10100010 0x45 'E' DATA
2:16.217 11000010 0x43 'C' DATA
2:16.281 10011100 0x39 '9' DATA
2:16.343 01100010 0x46 'F' DATA
2:16.406 01100010 0x46 'F' DATA
2:16.470 01100010 0x46 'F' DATA
2:16.533 00001100 0x30 '0' DATA
2:16.596 00001100 0x30 '0' DATA
2:16.659 01001100 0x32 '2' DATA
2:16.722 00100010 0x44 'D' DATA
2:16.784 00001100 0x30 '0' DATA
2:16.848 00100010 0x44 'D' DATA
2:16.911 01100010 0x46 'F' DATA
2:16.974 00011100 0x38 '8' DATA
2:17.037 00011100 0x38 '8' DATA
2:17.100 01100010 0x46 'F' DATA
2:17.163 00001100 0x30 '0' DATA
2:17.226 00001100 0x30 '0' DATA
2:17.289 01001100 0x32 '2' DATA
2:17.352 00100010 0x44 'D' DATA
2:17.415 00001100 0x30 '0' DATA
2:17.478 00100010 0x44 'D' DATA
2:17.541 10000010 0x41 'A' DATA
2:17.604 00101100 0x34 '4' DATA
2:17.667 11000010 0x43 'C' DATA
2:17.730 00001100 0x30 '0' DATA
2:17.793 00001100 0x30 '0' DATA
2:17.857 00001100 0x30 '0' DATA
2:17.919 01001100 0x32 '2' DATA
2:17.982 11110100 0x2F '/' EOF
2:18.046 10100010 0x45 'E' CSUML1
2:18.109 01101100 0x36 '6' CSUML2
2:18.172 01001100 0x32 '2' CSUMH2
2:18.235 00100010 0x44 'D' CSUMH2
2:18.298 00100000 0x04  ?  EOT1
2:18.365 00100001 0x84  ?  EOT2

Disassembly: TONES A1 - Siren sound effect

Disassembled using https://www.masswerk.at/6502/disassembler.html.

                  * = 0200
0200   A9 FF      LDA #$FF
0202   85 EE      STA $EE
0204   A9 0C      LDA #$0C
0206   8D 05 17   STA $1705
0209   A9 01      LDA #$01
020B   8D 01 17   STA $1701
020E   EE 00 17   INC $1700
0211   A6 EE      LDX $EE
0213   CA         DEX
0214   D0 FD      BNE $0213
0216   2C 07 17   BIT $1707
0219   10 F3      BPL $020E
021B   C6 EE      DEC $EE
021D   A5 EE      LDA $EE
021F   C9 00      CMP #$00
0221   F0 02      BEQ $0225
0223   D0 DF      BNE $0204
0225   88         DEY
0226   F0 02      BEQ $022A
0228   D0 DA      BNE $0204
022A   A0 01      LDY #$01
022C   A9 00      LDA #$00
022E   85 EE      STA $EE
0230   A9 0C      LDA #$0C
0232   8D 05 17   STA $1705
0235   A9 01      LDA #$01
0237   8D 01 17   STA $1701
023A   EE 00 17   INC $1700
023D   A6 EE      LDX $EE
023F   CA         DEX
0240   D0 FD      BNE $023F
0242   2C 07 17   BIT $1707
0245   10 F3      BPL $023A
0247   E6 EE      INC $EE
0249   A5 EE      LDA $EE
024B   C9 FF      CMP #$FF
024D   F0 02      BEQ $0251
024F   D0 DF      BNE $0230
0251   88         DEY
0252   F0 02      BEQ $0256
0254   D0 DA      BNE $0230
0256   4C 00 02   JMP $0200
0259              .END

Program: TONES 01 - ASCII keyboard to baudot teletype routine

This program starts around 2:31 into cassette tape - it's the third (and final) program stored on the tape.

Audio on the tape preceeding this program:

This is the February 11 version of the ASCII keyboard to baudot teletype routine.
Uses addresses 0ACC - 0C36.
ID 01.

Cassette tape data: TONES 01 - ASCII keyboard to baudot teletype routine

Timestamp Binary  Hex ASCII Description
2:31.976 01101000 0x16     SYN
2:32.039 01101000 0x16     SYN
2:32.102 01101000 0x16     SYN
2:32.165 01101000 0x16     SYN
2:32.229 01101000 0x16     SYN
2:32.292 01101000 0x16     SYN
2:32.355 01101000 0x16     SYN
2:32.418 01101000 0x16     SYN
2:32.481 01101000 0x16     SYN
2:32.544 01101000 0x16     SYN
2:32.607 01101000 0x16     SYN
2:32.670 01101000 0x16     SYN
2:32.734 01101000 0x16     SYN
2:32.797 01101000 0x16     SYN
2:32.860 01101000 0x16     SYN
2:32.923 01101000 0x16     SYN
2:32.986 01101000 0x16     SYN
2:33.050 01101000 0x16     SYN
2:33.113 01101000 0x16     SYN
2:33.176 01101000 0x16     SYN
2:33.239 01101000 0x16     SYN
2:33.302 01101000 0x16     SYN
2:33.365 01101000 0x16     SYN
2:33.429 01101000 0x16     SYN
2:33.492 01101000 0x16     SYN
2:33.555 01101000 0x16     SYN
2:33.618 01101000 0x16     SYN
2:33.681 01101000 0x16     SYN
2:33.745 01101000 0x16     SYN
2:33.807 01101000 0x16     SYN
2:33.871 01101000 0x16     SYN
2:33.934 01101000 0x16     SYN
2:33.997 01101000 0x16     SYN
2:34.060 01101000 0x16     SYN
2:34.123 01101000 0x16     SYN
2:34.186 01101000 0x16     SYN
2:34.250 01101000 0x16     SYN
2:34.313 01101000 0x16     SYN
2:34.376 01101000 0x16     SYN
2:34.439 01101000 0x16     SYN
2:34.502 01101000 0x16     SYN
2:34.565 01101000 0x16     SYN
2:34.629 01101000 0x16     SYN
2:34.692 01101000 0x16     SYN
2:34.755 01101000 0x16     SYN
2:34.818 01101000 0x16     SYN
2:34.881 01101000 0x16     SYN
2:34.945 01101000 0x16     SYN
2:35.008 01101000 0x16     SYN
2:35.071 01101000 0x16     SYN
2:35.134 01101000 0x16     SYN
2:35.197 01101000 0x16     SYN
2:35.260 01101000 0x16     SYN
2:35.323 01101000 0x16     SYN
2:35.387 01101000 0x16     SYN
2:35.450 01101000 0x16     SYN
2:35.513 01101000 0x16     SYN
2:35.576 01101000 0x16     SYN
2:35.640 01101000 0x16     SYN
2:35.703 01101000 0x16     SYN
2:35.766 01101000 0x16     SYN
2:35.829 01101000 0x16     SYN
2:35.892 01101000 0x16     SYN
2:35.955 01101000 0x16     SYN
2:36.019 01101000 0x16     SYN
2:36.082 01101000 0x16     SYN
2:36.145 01101000 0x16     SYN
2:36.208 01101000 0x16     SYN
2:36.271 01101000 0x16     SYN
2:36.335 01101000 0x16     SYN
2:36.398 01101000 0x16     SYN
2:36.461 01101000 0x16     SYN
2:36.524 01101000 0x16     SYN
2:36.587 01101000 0x16     SYN
2:36.650 01101000 0x16     SYN
2:36.714 01101000 0x16     SYN
2:36.776 01101000 0x16     SYN
2:36.840 01101000 0x16     SYN
2:36.903 01101000 0x16     SYN
2:36.966 01101000 0x16     SYN
2:37.029 01101000 0x16     SYN
2:37.093 01101000 0x16     SYN
2:37.156 01101000 0x16     SYN
2:37.219 01101000 0x16     SYN
2:37.282 01101000 0x16     SYN
2:37.345 01101000 0x16     SYN
2:37.408 01101000 0x16     SYN
2:37.471 01101000 0x16     SYN
2:37.535 01101000 0x16     SYN
2:37.598 01101000 0x16     SYN
2:37.661 01101000 0x16     SYN
2:37.724 01101000 0x16     SYN
2:37.787 01101000 0x16     SYN
2:37.850 01101000 0x16     SYN
2:37.914 01101000 0x16     SYN
2:37.977 01101000 0x16     SYN
2:38.040 01101000 0x16     SYN
2:38.103 01101000 0x16     SYN
2:38.166 01101000 0x16     SYN
2:38.229 01101000 0x16     SYN
2:38.293 01010100 0x2A '*' SOF
2:38.356 00001100 0x30 '0' ID1
2:38.419 10001100 0x31 '1' ID2
2:38.482 11000010 0x43 'C' START_ADDR_L1
2:38.545 11000010 0x43 'C' START_ADDR_L2
2:38.608 00001100 0x30 '0' START_ADDR_H1
2:38.672 10000010 0x41 'A' START_ADDR_H2
2:38.735 10000010 0x41 'A' END_ADDR_L1
2:38.798 10101100 0x35 '5' END_ADDR_L2
2:38.861 10100010 0x45 'E' END_ADDR_H1
2:38.924 11101100 0x37 '7' END_ADDR_H2
2:38.987 01101100 0x36 '6' DATA
2:39.050 10000010 0x41 'A' DATA
2:39.113 00011100 0x38 '8' DATA
2:39.176 10101100 0x35 '5' DATA
2:39.240 10100010 0x45 'E' DATA
2:39.303 11101100 0x37 '7' DATA
2:39.366 00011100 0x38 '8' DATA
2:39.429 00100010 0x44 'D' DATA
2:39.492 00001100 0x30 '0' DATA
2:39.555 01001100 0x32 '2' DATA
2:39.618 10001100 0x31 '1' DATA
2:39.682 11101100 0x37 '7' DATA
2:39.745 10000010 0x41 'A' DATA
2:39.808 10011100 0x39 '9' DATA
2:39.871 10001100 0x31 '1' DATA
2:39.934 01101100 0x36 '6' DATA
2:39.997 00011100 0x38 '8' DATA
2:40.060 00100010 0x44 'D' DATA
2:40.124 00001100 0x30 '0' DATA
2:40.187 11101100 0x37 '7' DATA
2:40.250 10001100 0x31 '1' DATA
2:40.313 11101100 0x37 '7' DATA
2:40.376 01001100 0x32 '2' DATA
2:40.439 11000010 0x43 'C' DATA
2:40.502 00001100 0x30 '0' DATA
2:40.565 11101100 0x37 '7' DATA
2:40.629 10001100 0x31 '1' DATA
2:40.691 11101100 0x37 '7' DATA
2:40.755 10001100 0x31 '1' DATA
2:40.818 00001100 0x30 '0' DATA
2:40.881 01100010 0x46 'F' DATA
2:40.944 01000010 0x42 'B' DATA
2:41.007 01101100 0x36 '6' DATA
2:41.070 00001100 0x30 '0' DATA
2:41.133 10000010 0x41 'A' DATA
2:41.196 01001100 0x32 '2' DATA
2:41.260 00001100 0x30 '0' DATA
2:41.323 00001100 0x30 '0' DATA
2:41.386 10100010 0x45 'E' DATA
2:41.449 00011100 0x38 '8' DATA
2:41.512 10100010 0x45 'E' DATA
2:41.575 00001100 0x30 '0' DATA
2:41.638 01001100 0x32 '2' DATA
2:41.701 00001100 0x30 '0' DATA
2:41.764 01100010 0x46 'F' DATA
2:41.827 00001100 0x30 '0' DATA
2:41.891 00001100 0x30 '0' DATA
2:41.954 00011100 0x38 '8' DATA
2:42.017 00100010 0x44 'D' DATA
2:42.080 00100010 0x44 'D' DATA
2:42.143 11000010 0x43 'C' DATA
2:42.206 11001100 0x33 '3' DATA
2:42.269 00001100 0x30 '0' DATA
2:42.332 01000010 0x42 'B' DATA
2:42.395 01100010 0x46 'F' DATA
2:42.459 00001100 0x30 '0' DATA
2:42.522 00001100 0x30 '0' DATA
2:42.585 10101100 0x35 '5' DATA
2:42.648 00101100 0x34 '4' DATA
2:42.711 11000010 0x43 'C' DATA
2:42.774 10100010 0x45 'E' DATA
2:42.837 10001100 0x31 '1' DATA
2:42.900 00001100 0x30 '0' DATA
2:42.964 10000010 0x41 'A' DATA
2:43.027 10000010 0x41 'A' DATA
2:43.090 01001100 0x32 '2' DATA
2:43.153 00001100 0x30 '0' DATA
2:43.216 00001100 0x30 '0' DATA
2:43.279 00011100 0x38 '8' DATA
2:43.342 10000010 0x41 'A' DATA
2:43.406 00101100 0x34 '4' DATA
2:43.468 11000010 0x43 'C' DATA
2:43.532 00001100 0x30 '0' DATA
2:43.595 11001100 0x33 '3' DATA
2:43.658 00001100 0x30 '0' DATA
2:43.721 11000010 0x43 'C' DATA
2:43.784 10000010 0x41 'A' DATA
2:43.847 01001100 0x32 '2' DATA
2:43.910 00001100 0x30 '0' DATA
2:43.973 10001100 0x31 '1' DATA
2:44.036 00011100 0x38 '8' DATA
2:44.100 01101100 0x36 '6' DATA
2:44.163 10100010 0x45 'E' DATA
2:44.226 01101100 0x36 '6' DATA
2:44.289 01001100 0x32 '2' DATA
2:44.351 00001100 0x30 '0' DATA
2:44.415 11101100 0x37 '7' DATA
2:44.478 01000010 0x42 'B' DATA
2:44.541 00001100 0x30 '0' DATA
2:44.604 01000010 0x42 'B' DATA
2:44.668 10000010 0x41 'A' DATA
2:44.731 01001100 0x32 '2' DATA
2:44.794 00001100 0x30 '0' DATA
2:44.857 00001100 0x30 '0' DATA
2:44.920 00011100 0x38 '8' DATA
2:44.983 01101100 0x36 '6' DATA
2:45.046 10100010 0x45 'E' DATA
2:45.109 01101100 0x36 '6' DATA
2:45.173 01101100 0x36 '6' DATA
2:45.236 00001100 0x30 '0' DATA
2:45.299 11101100 0x37 '7' DATA
2:45.362 00011100 0x38 '8' DATA
2:45.425 10000010 0x41 'A' DATA
2:45.488 00100010 0x44 'D' DATA
2:45.552 00001100 0x30 '0' DATA
2:45.615 00001100 0x30 '0' DATA
2:45.678 10001100 0x31 '1' DATA
2:45.741 11101100 0x37 '7' DATA
2:45.804 11000010 0x43 'C' DATA
2:45.867 10011100 0x39 '9' DATA
2:45.930 11101100 0x37 '7' DATA
2:45.993 01100010 0x46 'F' DATA
2:46.057 01100010 0x46 'F' DATA
2:46.120 00001100 0x30 '0' DATA
2:46.182 01100010 0x46 'F' DATA
2:46.246 10011100 0x39 '9' DATA
2:46.309 01101100 0x36 '6' DATA
2:46.372 00001100 0x30 '0' DATA
2:46.436 00011100 0x38 '8' DATA
2:46.498 10101100 0x35 '5' DATA
2:46.562 10100010 0x45 'E' DATA
2:46.625 10000010 0x41 'A' DATA
2:46.688 10000010 0x41 'A' DATA
2:46.751 01001100 0x32 '2' DATA
2:46.814 11000010 0x43 'C' DATA
2:46.878 11001100 0x33 '3' DATA
2:46.941 00011100 0x38 '8' DATA
2:47.004 01101100 0x36 '6' DATA
2:47.067 10100010 0x45 'E' DATA
2:47.130 01000010 0x42 'B' DATA
2:47.193 10000010 0x41 'A' DATA
2:47.256 01001100 0x32 '2' DATA
2:47.319 10100010 0x45 'E' DATA
2:47.382 11001100 0x33 '3' DATA
2:47.445 00011100 0x38 '8' DATA
2:47.509 01101100 0x36 '6' DATA
2:47.572 10100010 0x45 'E' DATA
2:47.635 11000010 0x43 'C' DATA
2:47.698 10000010 0x41 'A' DATA
2:47.761 01001100 0x32 '2' DATA
2:47.824 00001100 0x30 '0' DATA
2:47.887 00101100 0x34 '4' DATA
2:47.950 00011100 0x38 '8' DATA
2:48.013 10100010 0x45 'E' DATA
2:48.076 00001100 0x30 '0' DATA
2:48.140 11001100 0x33 '3' DATA
2:48.203 10001100 0x31 '1' DATA
2:48.266 11101100 0x37 '7' DATA
2:48.329 10000010 0x41 'A' DATA
2:48.392 01001100 0x32 '2' DATA
2:48.456 00001100 0x30 '0' DATA
2:48.519 00001100 0x30 '0' DATA
2:48.582 00011100 0x38 '8' DATA
2:48.645 01101100 0x36 '6' DATA
2:48.708 10100010 0x45 'E' DATA
2:48.771 01101100 0x36 '6' DATA
2:48.834 11000010 0x43 'C' DATA
2:48.897 10011100 0x39 '9' DATA
2:48.961 01001100 0x32 '2' DATA
2:49.024 00001100 0x30 '0' DATA
2:49.087 00100010 0x44 'D' DATA
2:49.150 00001100 0x30 '0' DATA
2:49.213 00001100 0x30 '0' DATA
2:49.276 10101100 0x35 '5' DATA
2:49.340 10000010 0x41 'A' DATA
2:49.403 10011100 0x39 '9' DATA
2:49.466 00001100 0x30 '0' DATA
2:49.529 00101100 0x34 '4' DATA
2:49.592 00101100 0x34 '4' DATA
2:49.655 11000010 0x43 'C' DATA
2:49.718 00001100 0x30 '0' DATA
2:49.781 11001100 0x33 '3' DATA
2:49.845 00001100 0x30 '0' DATA
2:49.907 11000010 0x43 'C' DATA
2:49.971 11000010 0x43 'C' DATA
2:50.034 10011100 0x39 '9' DATA
2:50.097 00001100 0x30 '0' DATA
2:50.160 00100010 0x44 'D' DATA
2:50.224 00100010 0x44 'D' DATA
2:50.287 00001100 0x30 '0' DATA
2:50.350 00001100 0x30 '0' DATA
2:50.413 10101100 0x35 '5' DATA
2:50.476 10000010 0x41 'A' DATA
2:50.540 10011100 0x39 '9' DATA
2:50.603 00001100 0x30 '0' DATA
2:50.666 00011100 0x38 '8' DATA
2:50.729 00101100 0x34 '4' DATA
2:50.792 11000010 0x43 'C' DATA
2:50.855 00001100 0x30 '0' DATA
2:50.918 11001100 0x33 '3' DATA
2:50.981 00001100 0x30 '0' DATA
2:51.045 11000010 0x43 'C' DATA
2:51.108 11000010 0x43 'C' DATA
2:51.171 10011100 0x39 '9' DATA
2:51.234 00001100 0x30 '0' DATA
2:51.297 10000010 0x41 'A' DATA
2:51.360 00100010 0x44 'D' DATA
2:51.423 00001100 0x30 '0' DATA
2:51.486 00001100 0x30 '0' DATA
2:51.550 10101100 0x35 '5' DATA
2:51.613 10000010 0x41 'A' DATA
2:51.676 10011100 0x39 '9' DATA
2:51.739 00001100 0x30 '0' DATA
2:51.802 01001100 0x32 '2' DATA
2:51.865 00101100 0x34 '4' DATA
2:51.928 11000010 0x43 'C' DATA
2:51.992 00001100 0x30 '0' DATA
2:52.055 11001100 0x33 '3' DATA
2:52.118 00001100 0x30 '0' DATA
2:52.181 11000010 0x43 'C' DATA
2:52.244 11000010 0x43 'C' DATA
2:52.308 10011100 0x39 '9' DATA
2:52.371 01001100 0x32 '2' DATA
2:52.434 01000010 0x42 'B' DATA
2:52.497 00100010 0x44 'D' DATA
2:52.560 00001100 0x30 '0' DATA
2:52.623 00001100 0x30 '0' DATA
2:52.687 10101100 0x35 '5' DATA
2:52.750 10000010 0x41 'A' DATA
2:52.813 10011100 0x39 '9' DATA
2:52.876 01001100 0x32 '2' DATA
2:52.939 01101100 0x36 '6' DATA
2:53.002 00101100 0x34 '4' DATA
2:53.065 11000010 0x43 'C' DATA
2:53.129 11101100 0x37 '7' DATA
2:53.192 01000010 0x42 'B' DATA
2:53.255 00001100 0x30 '0' DATA
2:53.317 01000010 0x42 'B' DATA
2:53.381 11000010 0x43 'C' DATA
2:53.444 10011100 0x39 '9' DATA
2:53.507 01001100 0x32 '2' DATA
2:53.570 10000010 0x41 'A' DATA
2:53.633 00100010 0x44 'D' DATA
2:53.697 00001100 0x30 '0' DATA
2:53.760 00001100 0x30 '0' DATA
2:53.823 10101100 0x35 '5' DATA
2:53.886 10000010 0x41 'A' DATA
2:53.949 10011100 0x39 '9' DATA
2:54.012 10101100 0x35 '5' DATA
2:54.075 00011100 0x38 '8' DATA
2:54.138 00101100 0x34 '4' DATA
2:54.201 11000010 0x43 'C' DATA
2:54.265 11101100 0x37 '7' DATA
2:54.328 01000010 0x42 'B' DATA
2:54.391 00001100 0x30 '0' DATA
2:54.454 01000010 0x42 'B' DATA
2:54.517 11000010 0x43 'C' DATA
2:54.580 10011100 0x39 '9' DATA
2:54.643 00001100 0x30 '0' DATA
2:54.706 10011100 0x39 '9' DATA
2:54.769 00100010 0x44 'D' DATA
2:54.832 00001100 0x30 '0' DATA
2:54.895 00001100 0x30 '0' DATA
2:54.959 10101100 0x35 '5' DATA
2:55.022 10000010 0x41 'A' DATA
2:55.085 10011100 0x39 '9' DATA
2:55.148 01001100 0x32 '2' DATA
2:55.211 10101100 0x35 '5' DATA
2:55.274 00101100 0x34 '4' DATA
2:55.337 11000010 0x43 'C' DATA
2:55.401 11101100 0x37 '7' DATA
2:55.464 01000010 0x42 'B' DATA
2:55.527 00001100 0x30 '0' DATA
2:55.590 01000010 0x42 'B' DATA
2:55.653 11000010 0x43 'C' DATA
2:55.716 10011100 0x39 '9' DATA
2:55.780 11001100 0x33 '3' DATA
2:55.842 10100010 0x45 'E' DATA
2:55.906 00100010 0x44 'D' DATA
2:55.968 00001100 0x30 '0' DATA
2:56.032 00001100 0x30 '0' DATA
2:56.095 00011100 0x38 '8' DATA
2:56.158 10000010 0x41 'A' DATA
2:56.221 10011100 0x39 '9' DATA
2:56.284 00101100 0x34 '4' DATA
2:56.347 11101100 0x37 '7' DATA
2:56.410 01001100 0x32 '2' DATA
2:56.473 00001100 0x30 '0' DATA
2:56.536 01100010 0x46 'F' DATA
2:56.599 00101100 0x34 '4' DATA
2:56.662 00001100 0x30 '0' DATA
2:56.725 10000010 0x41 'A' DATA
2:56.789 00101100 0x34 '4' DATA
2:56.851 11000010 0x43 'C' DATA
2:56.915 01101100 0x36 '6' DATA
2:56.978 11101100 0x37 '7' DATA
2:57.041 00001100 0x30 '0' DATA
2:57.104 01000010 0x42 'B' DATA
2:57.167 11000010 0x43 'C' DATA
2:57.230 10011100 0x39 '9' DATA
2:57.294 11001100 0x33 '3' DATA
2:57.357 11000010 0x43 'C' DATA
2:57.420 00100010 0x44 'D' DATA
2:57.483 00001100 0x30 '0' DATA
2:57.546 00001100 0x30 '0' DATA
2:57.609 10000010 0x41 'A' DATA
2:57.672 10000010 0x41 'A' DATA
2:57.735 10011100 0x39 '9' DATA
2:57.798 00101100 0x34 '4' DATA
2:57.861 11000010 0x43 'C' DATA
2:57.924 01001100 0x32 '2' DATA
2:57.987 00001100 0x30 '0' DATA
2:58.050 01100010 0x46 'F' DATA
2:58.114 00101100 0x34 '4' DATA
2:58.177 00001100 0x30 '0' DATA
2:58.239 10000010 0x41 'A' DATA
2:58.303 10000010 0x41 'A' DATA
2:58.366 10011100 0x39 '9' DATA
2:58.429 10101100 0x35 '5' DATA
2:58.492 00101100 0x34 '4' DATA
2:58.555 00101100 0x34 '4' DATA
2:58.618 11000010 0x43 'C' DATA
2:58.681 11101100 0x37 '7' DATA
2:58.744 01000010 0x42 'B' DATA
2:58.808 00001100 0x30 '0' DATA
2:58.870 01000010 0x42 'B' DATA
2:58.934 11000010 0x43 'C' DATA
2:58.997 10011100 0x39 '9' DATA
2:59.060 11001100 0x33 '3' DATA
2:59.123 00100010 0x44 'D' DATA
2:59.186 00100010 0x44 'D' DATA
2:59.249 00001100 0x30 '0' DATA
2:59.312 00001100 0x30 '0' DATA
2:59.376 01000010 0x42 'B' DATA
2:59.439 10100010 0x45 'E' DATA
2:59.502 10000010 0x41 'A' DATA
2:59.565 10000010 0x41 'A' DATA
2:59.628 10011100 0x39 '9' DATA
2:59.691 00101100 0x34 '4' DATA
2:59.754 10101100 0x35 '5' DATA
2:59.817 01001100 0x32 '2' DATA
2:59.880 00001100 0x30 '0' DATA
2:59.943 01100010 0x46 'F' DATA
3:00.006 00101100 0x34 '4' DATA
3:00.069 00001100 0x30 '0' DATA
3:00.132 10000010 0x41 'A' DATA
3:00.195 10000010 0x41 'A' DATA
3:00.258 10011100 0x39 '9' DATA
3:00.322 10101100 0x35 '5' DATA
3:00.385 10001100 0x31 '1' DATA
3:00.447 10100010 0x45 'E' DATA
3:00.511 10000010 0x41 'A' DATA
3:00.574 10100010 0x45 'E' DATA
3:00.637 10000010 0x41 'A' DATA
3:00.700 10100010 0x45 'E' DATA
3:00.763 10000010 0x41 'A' DATA
3:00.826 00101100 0x34 '4' DATA
3:00.890 00011100 0x38 '8' DATA
3:00.952 01001100 0x32 '2' DATA
3:01.016 10011100 0x39 '9' DATA
3:01.079 00101100 0x34 '4' DATA
3:01.142 00001100 0x30 '0' DATA
3:01.205 11000010 0x43 'C' DATA
3:01.268 10011100 0x39 '9' DATA
3:01.331 00101100 0x34 '4' DATA
3:01.394 00001100 0x30 '0' DATA
3:01.458 01100010 0x46 'F' DATA
3:01.521 00001100 0x30 '0' DATA
3:01.584 01001100 0x32 '2' DATA
3:01.646 00001100 0x30 '0' DATA
3:01.710 10000010 0x41 'A' DATA
3:01.773 10101100 0x35 '5' DATA
3:01.836 10100010 0x45 'E' DATA
3:01.899 10011100 0x39 '9' DATA
3:01.963 11000010 0x43 'C' DATA
3:02.026 10011100 0x39 '9' DATA
3:02.089 00001100 0x30 '0' DATA
3:02.152 10001100 0x31 '1' DATA
3:02.215 01100010 0x46 'F' DATA
3:02.278 00001100 0x30 '0' DATA
3:02.341 10001100 0x31 '1' DATA
3:02.405 10001100 0x31 '1' DATA
3:02.468 10000010 0x41 'A' DATA
3:02.531 10011100 0x39 '9' DATA
3:02.594 00001100 0x30 '0' DATA
3:02.657 10001100 0x31 '1' DATA
3:02.720 00011100 0x38 '8' DATA
3:02.783 10101100 0x35 '5' DATA
3:02.846 10100010 0x45 'E' DATA
3:02.909 00011100 0x38 '8' DATA
3:02.973 10000010 0x41 'A' DATA
3:03.036 10011100 0x39 '9' DATA
3:03.099 10001100 0x31 '1' DATA
3:03.162 01000010 0x42 'B' DATA
3:03.225 01001100 0x32 '2' DATA
3:03.288 00001100 0x30 '0' DATA
3:03.351 00001100 0x30 '0' DATA
3:03.414 11001100 0x33 '3' DATA
3:03.477 00001100 0x30 '0' DATA
3:03.540 11000010 0x43 'C' DATA
3:03.603 10000010 0x41 'A' DATA
3:03.666 10011100 0x39 '9' DATA
3:03.729 00001100 0x30 '0' DATA
3:03.792 00001100 0x30 '0' DATA
3:03.855 00011100 0x38 '8' DATA
3:03.919 10101100 0x35 '5' DATA
3:03.982 10100010 0x45 'E' DATA
3:04.045 00011100 0x38 '8' DATA
3:04.108 10000010 0x41 'A' DATA
3:04.171 10011100 0x39 '9' DATA
3:04.234 00001100 0x30 '0' DATA
3:04.297 10001100 0x31 '1' DATA
3:04.360 00011100 0x38 '8' DATA
3:04.423 10101100 0x35 '5' DATA
3:04.487 10100010 0x45 'E' DATA
3:04.550 10011100 0x39 '9' DATA
3:04.613 10000010 0x41 'A' DATA
3:04.676 10101100 0x35 '5' DATA
3:04.739 10100010 0x45 'E' DATA
3:04.802 11000010 0x43 'C' DATA
3:04.864 00011100 0x38 '8' DATA
3:04.928 00100010 0x44 'D' DATA
3:04.991 10100010 0x45 'E' DATA
3:05.054 11101100 0x37 '7' DATA
3:05.117 00001100 0x30 '0' DATA
3:05.180 10000010 0x41 'A' DATA
3:05.243 01101100 0x36 '6' DATA
3:05.307 00011100 0x38 '8' DATA
3:05.370 00101100 0x34 '4' DATA
3:05.432 11000010 0x43 'C' DATA
3:05.495 00100010 0x44 'D' DATA
3:05.559 01100010 0x46 'F' DATA
3:05.622 00001100 0x30 '0' DATA
3:05.685 10000010 0x41 'A' DATA
3:05.748 10000010 0x41 'A' DATA
3:05.810 10101100 0x35 '5' DATA
3:05.874 10100010 0x45 'E' DATA
3:05.937 10011100 0x39 '9' DATA
3:06.000 11000010 0x43 'C' DATA
3:06.063 10011100 0x39 '9' DATA
3:06.126 00001100 0x30 '0' DATA
3:06.189 00001100 0x30 '0' DATA
3:06.252 01100010 0x46 'F' DATA
3:06.316 00001100 0x30 '0' DATA
3:06.378 10001100 0x31 '1' DATA
3:06.441 10001100 0x31 '1' DATA
3:06.504 10000010 0x41 'A' DATA
3:06.567 01001100 0x32 '2' DATA
3:06.630 00001100 0x30 '0' DATA
3:06.693 10001100 0x31 '1' DATA
3:06.756 00011100 0x38 '8' DATA
3:06.819 01101100 0x36 '6' DATA
3:06.883 10100010 0x45 'E' DATA
3:06.946 00011100 0x38 '8' DATA
3:07.009 10000010 0x41 'A' DATA
3:07.072 10011100 0x39 '9' DATA
3:07.135 10001100 0x31 '1' DATA
3:07.198 01100010 0x46 'F' DATA
3:07.261 01001100 0x32 '2' DATA
3:07.324 00001100 0x30 '0' DATA
3:07.387 00001100 0x30 '0' DATA
3:07.450 11001100 0x33 '3' DATA
3:07.513 00001100 0x30 '0' DATA
3:07.576 11000010 0x43 'C' DATA
3:07.639 10000010 0x41 'A' DATA
3:07.702 01001100 0x32 '2' DATA
3:07.765 00001100 0x30 '0' DATA
3:07.828 00001100 0x30 '0' DATA
3:07.891 00011100 0x38 '8' DATA
3:07.955 01101100 0x36 '6' DATA
3:08.018 10100010 0x45 'E' DATA
3:08.080 00011100 0x38 '8' DATA
3:08.144 10000010 0x41 'A' DATA
3:08.207 01001100 0x32 '2' DATA
3:08.270 00001100 0x30 '0' DATA
3:08.333 00001100 0x30 '0' DATA
3:08.396 00011100 0x38 '8' DATA
3:08.459 01101100 0x36 '6' DATA
3:08.522 10100010 0x45 'E' DATA
3:08.585 10011100 0x39 '9' DATA
3:08.648 10000010 0x41 'A' DATA
3:08.711 10101100 0x35 '5' DATA
3:08.774 10100010 0x45 'E' DATA
3:08.837 01000010 0x42 'B' DATA
3:08.900 00011100 0x38 '8' DATA
3:08.964 00100010 0x44 'D' DATA
3:09.026 10100010 0x45 'E' DATA
3:09.089 11101100 0x37 '7' DATA
3:09.153 00001100 0x30 '0' DATA
3:09.216 10000010 0x41 'A' DATA
3:09.279 01101100 0x36 '6' DATA
3:09.342 00011100 0x38 '8' DATA
3:09.405 00101100 0x34 '4' DATA
3:09.468 11000010 0x43 'C' DATA
3:09.531 00100010 0x44 'D' DATA
3:09.594 01100010 0x46 'F' DATA
3:09.657 00001100 0x30 '0' DATA
3:09.720 10000010 0x41 'A' DATA
3:09.784 10100010 0x45 'E' DATA
3:09.847 10000010 0x41 'A' DATA
3:09.910 00001100 0x30 '0' DATA
3:09.973 00001100 0x30 '0' DATA
3:10.036 00101100 0x34 '4' DATA
3:10.099 10101100 0x35 '5' DATA
3:10.162 00001100 0x30 '0' DATA
3:10.225 10000010 0x41 'A' DATA
3:10.288 00101100 0x34 '4' DATA
3:10.351 10001100 0x31 '1' DATA
3:10.414 01001100 0x32 '2' DATA
3:10.477 00001100 0x30 '0' DATA
3:10.540 10101100 0x35 '5' DATA
3:10.604 11001100 0x33 '3' DATA
3:10.666 00101100 0x34 '4' DATA
3:10.730 10011100 0x39 '9' DATA
3:10.793 10101100 0x35 '5' DATA
3:10.856 10101100 0x35 '5' DATA
3:10.919 00001100 0x30 '0' DATA
3:10.981 00100010 0x44 'D' DATA
3:11.045 00101100 0x34 '4' DATA
3:11.108 00101100 0x34 '4' DATA
3:11.171 10101100 0x35 '5' DATA
3:11.234 01001100 0x32 '2' DATA
3:11.297 00101100 0x34 '4' DATA
3:11.360 10000010 0x41 'A' DATA
3:11.424 00101100 0x34 '4' DATA
3:11.487 10100010 0x45 'E' DATA
3:11.550 00101100 0x34 '4' DATA
3:11.613 01101100 0x36 '6' DATA
3:11.676 00101100 0x34 '4' DATA
3:11.739 11001100 0x33 '3' DATA
3:11.802 00101100 0x34 '4' DATA
3:11.865 01000010 0x42 'B' DATA
3:11.928 10101100 0x35 '5' DATA
3:11.991 00101100 0x34 '4' DATA
3:12.054 10101100 0x35 '5' DATA
3:12.117 10000010 0x41 'A' DATA
3:12.180 00101100 0x34 '4' DATA
3:12.243 11000010 0x43 'C' DATA
3:12.306 10101100 0x35 '5' DATA
3:12.370 11101100 0x37 '7' DATA
3:12.433 00101100 0x34 '4' DATA
3:12.496 00011100 0x38 '8' DATA
3:12.559 10101100 0x35 '5' DATA
3:12.622 10011100 0x39 '9' DATA
3:12.685 10101100 0x35 '5' DATA
3:12.748 00001100 0x30 '0' DATA
3:12.811 10101100 0x35 '5' DATA
3:12.874 10001100 0x31 '1' DATA
3:12.937 00101100 0x34 '4' DATA
3:13.000 01100010 0x46 'F' DATA
3:13.063 00101100 0x34 '4' DATA
3:13.126 01001100 0x32 '2' DATA
3:13.189 00101100 0x34 '4' DATA
3:13.253 11101100 0x37 '7' DATA
3:13.316 10101100 0x35 '5' DATA
3:13.379 01000010 0x42 'B' DATA
3:13.442 00101100 0x34 '4' DATA
3:13.505 00100010 0x44 'D' DATA
3:13.568 10101100 0x35 '5' DATA
3:13.631 00011100 0x38 '8' DATA
3:13.694 10101100 0x35 '5' DATA
3:13.757 01101100 0x36 '6' DATA
3:13.820 10101100 0x35 '5' DATA
3:13.883 00100010 0x44 'D' DATA
3:13.946 00001100 0x30 '0' DATA
3:14.009 00001100 0x30 '0' DATA
3:14.072 11001100 0x33 '3' DATA
3:14.135 11001100 0x33 '3' DATA
3:14.198 00001100 0x30 '0' DATA
3:14.261 10000010 0x41 'A' DATA
3:14.324 01001100 0x32 '2' DATA
3:14.387 00100010 0x44 'D' DATA
3:14.450 01001100 0x32 '2' DATA
3:14.513 00001100 0x30 '0' DATA
3:14.576 01001100 0x32 '2' DATA
3:14.640 11101100 0x37 '7' DATA
3:14.702 11001100 0x33 '3' DATA
3:14.766 00011100 0x38 '8' DATA
3:14.046 11001100 0x33 '3' DATA
3:14.109 11101100 0x37 '7' DATA
3:14.172 00001100 0x30 '0' DATA
3:15.235 00100010 0x44 'D' DATA
3:15.298 01001100 0x32 '2' DATA
3:15.361 00101100 0x34 '4' DATA
3:15.424 11001100 0x33 '3' DATA
3:15.487 00101100 0x34 '4' DATA
3:15.550 01001100 0x32 '2' DATA
3:15.613 10101100 0x35 '5' DATA
3:15.676 01001100 0x32 '2' DATA
3:15.739 11000010 0x43 'C' DATA
3:15.802 01001100 0x32 '2' DATA
3:15.865 10001100 0x31 '1' DATA
3:15.928 11001100 0x33 '3' DATA
3:15.991 10000010 0x41 'A' DATA
3:15.054 01001100 0x32 '2' DATA
3:15.117 00011100 0x38 '8' DATA
3:15.180 11001100 0x33 '3' DATA
3:16.243 10101100 0x35 '5' DATA
3:16.306 01001100 0x32 '2' DATA
3:16.369 01001100 0x32 '2' DATA
3:16.432 01001100 0x32 '2' DATA
3:16.495 10011100 0x39 '9' DATA
3:16.558 11001100 0x33 '3' DATA
3:16.621 01001100 0x32 '2' DATA
3:16.684 01001100 0x32 '2' DATA
3:16.747 11001100 0x33 '3' DATA
3:16.810 11001100 0x33 '3' DATA
3:16.873 01101100 0x36 '6' DATA
3:16.936 11001100 0x33 '3' DATA
3:16.999 00001100 0x30 '0' DATA
3:16.063 11001100 0x33 '3' DATA
3:16.125 10001100 0x31 '1' DATA
3:16.189 11001100 0x33 '3' DATA
3:17.252 10011100 0x39 '9' DATA
3:17.315 11001100 0x33 '3' DATA
3:17.378 01100010 0x46 'F' DATA
3:17.441 01001100 0x32 '2' DATA
3:17.504 01101100 0x36 '6' DATA
3:17.567 10101100 0x35 '5' DATA
3:17.630 01000010 0x42 'B' DATA
3:17.693 01001100 0x32 '2' DATA
3:17.756 10100010 0x45 'E' DATA
3:17.819 01001100 0x32 '2' DATA
3:17.882 01100010 0x46 'F' DATA
3:17.945 11001100 0x33 '3' DATA
3:17.009 01000010 0x42 'B' DATA
3:17.071 10101100 0x35 '5' DATA
3:17.134 00100010 0x44 'D' DATA
3:17.198 10001100 0x31 '1' DATA
3:18.261 00011100 0x38 '8' DATA
3:18.324 00001100 0x30 '0' DATA
3:18.387 10011100 0x39 '9' DATA
3:18.450 01101100 0x36 '6' DATA
3:18.513 00001100 0x30 '0' DATA
3:18.576 01001100 0x32 '2' DATA
3:18.639 10000010 0x41 'A' DATA
3:18.702 01001100 0x32 '2' DATA
3:18.765 10000010 0x41 'A' DATA
3:18.828 01001100 0x32 '2' DATA
3:18.892 10000010 0x41 'A' DATA
3:18.954 01001100 0x32 '2' DATA
3:18.018 10000010 0x41 'A' DATA
3:18.081 10100010 0x45 'E' DATA
3:18.144 10000010 0x41 'A' DATA
3:18.207 00011100 0x38 '8' DATA
3:19.270 10101100 0x35 '5' DATA
3:19.333 10100010 0x45 'E' DATA
3:19.396 11101100 0x37 '7' DATA
3:19.459 01001100 0x32 '2' DATA
3:19.523 00001100 0x30 '0' DATA
3:19.586 11000010 0x43 'C' DATA
3:19.649 11000010 0x43 'C' DATA
3:19.711 00001100 0x30 '0' DATA
3:19.775 10000010 0x41 'A' DATA
3:19.838 01001100 0x32 '2' DATA
3:19.901 00001100 0x30 '0' DATA
3:19.964 11000010 0x43 'C' DATA
3:19.027 11000010 0x43 'C' DATA
3:19.090 00001100 0x30 '0' DATA
3:19.153 10000010 0x41 'A' DATA
3:19.216 01001100 0x32 '2' DATA
3:20.279 00001100 0x30 '0' DATA
3:20.343 11000010 0x43 'C' DATA
3:20.406 11000010 0x43 'C' DATA
3:20.469 00001100 0x30 '0' DATA
3:20.532 10000010 0x41 'A' DATA
3:20.595 01001100 0x32 '2' DATA
3:20.658 00001100 0x30 '0' DATA
3:20.721 11000010 0x43 'C' DATA
3:20.784 11000010 0x43 'C' DATA
3:20.847 00001100 0x30 '0' DATA
3:20.910 10000010 0x41 'A' DATA
3:20.973 01001100 0x32 '2' DATA
3:20.037 00001100 0x30 '0' DATA
3:20.099 11000010 0x43 'C' DATA
3:20.163 11000010 0x43 'C' DATA
3:21.226 00001100 0x30 '0' DATA
3:21.289 10000010 0x41 'A' DATA
3:21.352 01001100 0x32 '2' DATA
3:21.415 00001100 0x30 '0' DATA
3:21.478 11000010 0x43 'C' DATA
3:21.541 11000010 0x43 'C' DATA
3:21.604 00001100 0x30 '0' DATA
3:21.667 10000010 0x41 'A' DATA
3:21.730 01001100 0x32 '2' DATA
3:21.793 00001100 0x30 '0' DATA
3:21.856 11000010 0x43 'C' DATA
3:21.920 11000010 0x43 'C' DATA
3:21.983 00001100 0x30 '0' DATA
3:21.046 10000010 0x41 'A' DATA
3:21.109 01001100 0x32 '2' DATA
3:21.172 00001100 0x30 '0' DATA
3:22.235 11000010 0x43 'C' DATA
3:22.298 11000010 0x43 'C' DATA
3:22.361 00001100 0x30 '0' DATA
3:22.424 10000010 0x41 'A' DATA
3:22.487 10000010 0x41 'A' DATA
3:22.551 10101100 0x35 '5' DATA
3:22.614 10100010 0x45 'E' DATA
3:22.676 01101100 0x36 '6' DATA
3:22.739 11000010 0x43 'C' DATA
3:22.802 10011100 0x39 '9' DATA
3:22.866 00001100 0x30 '0' DATA
3:22.929 10001100 0x31 '1' DATA
3:22.992 00100010 0x44 'D' DATA
3:22.055 00001100 0x30 '0' DATA
3:22.118 00001100 0x30 '0' DATA
3:22.181 10001100 0x31 '1' DATA
3:23.244 01101100 0x36 '6' DATA
3:23.307 00001100 0x30 '0' DATA
3:23.370 10000010 0x41 'A' DATA
3:23.433 10101100 0x35 '5' DATA
3:23.496 10100010 0x45 'E' DATA
3:23.559 00011100 0x38 '8' DATA
3:23.623 11000010 0x43 'C' DATA
3:23.685 10011100 0x39 '9' DATA
3:23.749 00001100 0x30 '0' DATA
3:23.812 10001100 0x31 '1' DATA
3:23.875 00100010 0x44 'D' DATA
3:23.938 00001100 0x30 '0' DATA
3:23.001 00001100 0x30 '0' DATA
3:23.064 10001100 0x31 '1' DATA
3:23.127 01101100 0x36 '6' DATA
3:23.190 00001100 0x30 '0' DATA
3:24.253 10000010 0x41 'A' DATA
3:24.316 10101100 0x35 '5' DATA
3:24.379 10100010 0x45 'E' DATA
3:24.442 10000010 0x41 'A' DATA
3:24.505 10101100 0x35 '5' DATA
3:24.569 00011100 0x38 '8' DATA
3:24.632 01101100 0x36 '6' DATA
3:24.694 00001100 0x30 '0' DATA
3:24.758 11110100 0x2F '/' EOF
3:24.821 01100010 0x46 'F' CSUML1
3:24.884 10011100 0x39 '9' CSUML2
3:24.947 00011100 0x38 '8' CSUMH2
3:24.010 01100010 0x46 'F' CSUMH2
3:24.073 00100000 0x04  ?  EOT1
3:24.140 00100001 0x84  ?  EOT2

Disassembly: TONES 01 - ASCII keyboard to baudot teletype routine

Disassembled using https://www.masswerk.at/6502/disassembler.html.

                  * = 0ACC
0ACC   6A         ROR A
0ACD   85 E7      STA $E7
0ACF   8D 02 17   STA $1702
0AD2   A9 16      LDA #$16
0AD4   8D 07 17   STA $1707
0AD7   2C 07 17   BIT $1707
0ADA   10 FB      BPL $0AD7
0ADC   60         RTS
0ADD   A2 00      LDX #$00
0ADF   E8         INX
0AE0   E0 20      CPX #$20
0AE2   F0 08      BEQ $0AEC
0AE4   DD C3 0B   CMP $0BC3,X
0AE7   F0 05      BEQ $0AEE
0AE9   4C E1 0A   JMP $0AE1
0AEC   A2 00      LDX #$00
0AEE   8A         TXA
0AEF   4C 03 0C   JMP $0C03
0AF2   A2 01      LDX #$01
0AF4   86 E6      STX $E6
0AF6   20 7B 0B   JSR $0B7B
0AF9   A2 00      LDX #$00
0AFB   86 E6      STX $E6
0AFD   60         RTS
0AFE   78         SEI
0AFF   AD 00 17   LDA $1700
0B02   C9 7F      CMP #$7F
0B04   F0 F9      BEQ $0AFF
0B06   60         RTS
0B07   85 EA      STA $EA
0B09   A2 C3      LDX #$C3
0B0B   86 EB      STX $EB
0B0D   A2 E3      LDX #$E3
0B0F   86 EC      STX $EC
0B11   A2 04      LDX #$04
0B13   8E 03 17   STX $1703
0B16   A2 00      LDX #$00
0B18   86 E6      STX $E6
0B1A   C9 20      CMP #$20
0B1C   D0 05      BNE $0B23
0B1E   A9 04      LDA #$04
0B20   4C 03 0C   JMP $0C03
0B23   C9 0D      CMP #$0D
0B25   D0 05      BNE $0B2C
0B27   A9 08      LDA #$08
0B29   4C 03 0C   JMP $0C03
0B2C   C9 0A      CMP #$0A
0B2E   D0 05      BNE $0B35
0B30   A9 02      LDA #$02
0B32   4C 03 0C   JMP $0C03
0B35   C9 2B      CMP #$2B
0B37   D0 05      BNE $0B3E
0B39   A9 26      LDA #$26
0B3B   4C 7B 0B   JMP $0B7B
0B3E   C9 2A      CMP #$2A
0B40   D0 05      BNE $0B47
0B42   A9 58      LDA #$58
0B44   4C 7B 0B   JMP $0B7B
0B47   C9 09      CMP #$09
0B49   D0 05      BNE $0B50
0B4B   A9 25      LDA #$25
0B4D   4C 7B 0B   JMP $0B7B
0B50   C9 3E      CMP #$3E
0B52   D0 08      BNE $0B5C
0B54   A9 47      LDA #$47
0B56   20 F4 0A   JSR $0AF4
0B59   4C 67 0B   JMP $0B67
0B5C   C9 3C      CMP #$3C
0B5E   D0 0A      BNE $0B6A
0B60   A9 4C      LDA #$4C
0B62   20 F4 0A   JSR $0AF4
0B65   A9 54      LDA #$54
0B67   4C 7B 0B   JMP $0B7B
0B6A   C9 3D      CMP #$3D
0B6C   D0 0B      BNE $0B79
0B6E   EA         NOP
0B6F   A9 45      LDA #$45
0B71   20 F4 0A   JSR $0AF4
0B74   A9 51      LDA #$51
0B76   EA         NOP
0B77   EA         NOP
0B78   EA         NOP
0B79   48         PHA
0B7A   29 40      AND #$40
0B7C   C9 40      CMP #$40
0B7E   F0 20      BEQ $0BA0
0B80   A5 E9      LDA $E9
0B82   C9 01      CMP #$01
0B84   F0 11      BEQ $0B97
0B86   A9 01      LDA #$01
0B88   85 E8      STA $E8
0B8A   A9 1B      LDA #$1B
0B8C   20 03 0C   JSR $0C03
0B8F   A9 00      LDA #$00
0B91   85 E8      STA $E8
0B93   A9 01      LDA #$01
0B95   85 E9      STA $E9
0B97   A5 EC      LDA $EC
0B99   8D E7 0A   STA $0AE7
0B9C   68         PLA
0B9D   4C DF 0A   JMP $0ADF
0BA0   A5 E9      LDA $E9
0BA2   C9 00      CMP #$00
0BA4   F0 11      BEQ $0BB7
0BA6   A2 01      LDX #$01
0BA8   86 E8      STX $E8
0BAA   A9 1F      LDA #$1F
0BAC   20 03 0C   JSR $0C03
0BAF   A2 00      LDX #$00
0BB1   86 E8      STX $E8
0BB3   A2 00      LDX #$00
0BB5   86 E9      STX $E9
0BB7   A5 EB      LDA $EB
0BB9   8D E7 0A   STA $0AE7
0BBC   68         PLA
0BBD   4C DF 0A   JMP $0ADF
0BC0   EA         NOP
0BC1   00         BRK
0BC2   45 0A      EOR $0A
0BC4   41 20      EOR ($20,X)
0BC6   53         ???
0BC7   49 55      EOR #$55
0BC9   0D 44 52   ORA $5244
0BCC   4A         LSR A
0BCD   4E 46 43   LSR $4346
0BD0   4B         ???
0BD1   54         ???
0BD2   5A         ???
0BD3   4C 57 48   JMP $4857
0BD6   59 50 51   EOR $5150,Y
0BD9   4F         ???
0BDA   42         ???
0BDB   47         ???
0BDC   5B         ???
0BDD   4D 58 56   EOR $5658
0BE0   5D 00 33   EOR $3300,X
0BE3   0A         ASL A
0BE4   2D 20 27   AND $2720
0BE7   38         SEC
0BE8   37         ???
0BE9   0D 24 34   ORA $3424
0BEC   25 2C      AND $2C
0BEE   21 3A      AND ($3A,X)
0BF0   28         PLP
0BF1   35 22      AND $22,X
0BF3   29 32      AND #$32
0BF5   23         ???
0BF6   36 30      ROL $30,X
0BF8   31 39      AND ($39),Y
0BFA   3F         ???
0BFB   26 5B      ROL $5B
0BFD   2E 2F 3B   ROL $3B2F
0C00   5D 18 09   EOR $0918,X
0C03   60         RTS
0C04   2A         ROL A
0C05   2A         ROL A
0C06   2A         ROL A
0C07   2A         ROL A
0C08   EA         NOP
0C09   85 E7      STA $E7
0C0B   20 CC 0A   JSR $0ACC
0C0E   20 CC 0A   JSR $0ACC
0C11   20 CC 0A   JSR $0ACC
0C14   20 CC 0A   JSR $0ACC
0C17   20 CC 0A   JSR $0ACC
0C1A   20 CC 0A   JSR $0ACC
0C1D   20 CC 0A   JSR $0ACC
0C20   20 CC 0A   JSR $0ACC
0C23   A5 E6      LDA $E6
0C25   C9 01      CMP #$01
0C27   D0 01      BNE $0C2A
0C29   60         RTS
0C2A   A5 E8      LDA $E8
0C2C   C9 01      CMP #$01
0C2E   D0 01      BNE $0C31
0C30   60         RTS
0C31   A5 EA      LDA $EA
0C33   58         CLI
0C34   60         RTS
0C35              .END